Branding

This is a debugging block

Menü

This is a debugging block

Inhalt

This is a debugging block

11.9.19 | 17.00 Uhr | Werkstätten BLS Spiez

Einfachheit in der Komplexität

Begrüssung

Martin Althaus, L2A Architekten AG

Projekterläuterung und Führung

Patrick Widmer · brügger architekten ag
Martin Althaus · L2A Architekten AG

Treffpunkt

BLS Werkstatt Spiez, Spiezerfeldweg / Arealzufahrt
3700 Spiez